September 21, 2024

THEDARKEYES

Everything Here Has!

IEDM 2021: Intel shares the latest research breakthroughs, heading towards 2025 with Moore’s Law

4 min read
IEDM 2021 Intel shares the latest research breakthroughs heading towards 2025 with Moores Law

In the process of unremitting pursuit of Moore’s Law, Intel continues to focus on key packaging, transistors, quantum physics and other fields to promote and accelerate the development of computing in the next decade. At the 2021 IEEE International Electronic Equipment Conference (IEDM), the chip giant outlined its latest achievements. Including the realization of a 10-fold increase in the interconnect density of hybrid bonding packages, a 30-50% increase in transistor scale, major breakthroughs in new power and memory technologies, and a new concept of physics that is expected to completely overturn traditional computing methods one day.

 

Robert Chau, Intel senior researcher and general manager of the component research department, said: “At Intel, the research and innovation required to advance Moore’s Law never stops.”

The component research team will share key research breakthroughs and revolutionary process and packaging technologies during IEDM 2021 to meet the insatiable needs of the industry and the community for powerful computing.

These achievements stem from the continuous and unremitting efforts of Intel’s best scientists and engineers, who continue to be at the forefront of innovation so that Moore’s Law can continue smoothly.

It is reported that Moore’s Law has long guided the computing innovation of the IT industry to meet the needs of every technological iteration from mainframes to mobile computing devices.

But as we enter a new era with unlimited data and artificial intelligence computing, this evolution is still continuing today.

As the cornerstone of Moore’s Law, the Intel component research team is committed to continuous innovation in three key areas:

(1) Basic scaling technology to accommodate more transistors;

(2) New silicon functions for power and storage gain;

(3) Explore new concepts in the field of physics to completely overturn the existing calculation methods in this world.

Many previous technological breakthroughs have been applied in many products today, including strained silicon, Hi-K metal gates, FinFET transistors, RibbonFET, and packaging process innovations such as EMIB and Foveros Direct.

[The following are the main points of Intel IEDM 2021]

(1) Intel is conducting important research on basic scaling technology to introduce more transistors in future products.

First, the researchers outlined solutions to the challenges of hybrid bonding interconnection design, process, and assembly, which are expected to increase the package interconnection density to more than 10 times.

In fact, at the Intel Accelerated event in July, the company has already announced the launch of Foveros Direct. The feature is to support sub-10 micron bump pitch, which is expected to increase the interconnect density of 3D stacking by another order of magnitude.

In order to allow ecological partners to benefit from advanced packaging technologies such as small chips, Intel also called for the establishment of new industry standards and testing procedures.

Secondly, after the RibbonFET surrounds the gate, Intel is leading the upcoming post-FinFET era by stacking multiple CMOS transistors.

Post-FinFET aims to achieve as much as 30~50% improvement in logic scaling to continue to promote the development of Moore’s Law-packing more transistors per m㎡.

In addition, Intel is committed to paving the way for Moore’s Law into a new era through forward-looking research. Related research shows how to use new materials with a thickness of a few atoms to make transistors that overcome the limitations of traditional silicon channels.

Looking forward to the next ten years, we are expected to usher in more powerful computing products that add millions of transistors per chip area.

(2) What new features is Intel bringing to the chip?

By taking the lead in realizing gallium nitride (GaN)-based power switches on 300mm wafers, combined with silicon-based CMOS, Intel is advancing more efficient power device technology.

In other words, this lays the foundation for the CPU’s high-speed power supply and lower energy consumption loss, while reducing the need for motherboard components and space, in addition to industry-leading low-latency read/write experience.

Thanks to new ferroelectric materials and next-generation DRAM technology, it helps provide greater memory resources to resolve the increasingly complex problems faced by computing applications ranging from games to artificial intelligence.

(3) Intel is pursuing huge performance through quantum computing based on silicon transistors and brand-new switching components.

Many companies in the industry are pinning their hopes on equipment that can operate at room temperature to promote large-scale energy-saving calculations.

Looking to the future, new devices based on new physics concepts are expected to replace the classic ‘metal-oxide semiconductor field effect transistor’ (referred to as MOSFET).

It is worth mentioning that on IEDM 2021, Intel demonstrated the world’s first room-temperature magnetoelectric spin orbit (MESO) logic device, which means the potential for manufacturing new transistors based on switching nanomagnets.

In addition, Intel and IMEC have made new progress in the research of spintronic materials, and integrated research has made the device one step closer to achieving full-featured spin torque.

Finally, Intel demonstrated a complete 300mm qubit process flow for implementing scalable quantum computing compatible with CMOS manufacturing, and has determined the direction of follow-up research.

Leave a Reply

Your email address will not be published. Required fields are marked *

Copyright © All rights reserved. | Newsphere by AF themes.